СКТО Промпроект
  • Главная
  • О компании
    • История
    • Современность
    • Специализация
    • Руководство
    • Лицензии
    • Партнеры
    • Вакансии
  • Услуги
    • Инжиниринг
    • Техаудит
    • Планирование
    • Проектирование
    • Реконструкция
    • Техперевооружение
    • Сервис
  • Оборудование
    • Рост слитков
    • Мехобработка
    • Химобработка
    • Эпитаксия
    • Литография
    • Плазмохимия
    • Физические процессы
    • Имплантация
    • Термопроцессы
    • Измерения
    • Испытания
  • Контакты

Обратная связь

Ждем ваших сообщений!

 

 

 

Презентации

PDF

СКТО-Проектирование

PDF

СКТО-Реконструкция

PDF

СКТО-Техперевооружение

PDF

СКТО-Инжиниринг

Показать

Инженерное оборудование

PDF

Чистые помещения

PDF

Системы кондиционирования

PDF

Холодоснабжение 1

PDF

Холодоснабжение 2

PDF

Сжатый воздух

PDF

Магистральные газы

PDF

Криогенные газы

PDF

Электронные газы

PDF

Очистка технологических газов

PDF

Трубопроводы

PDF

Скрубберы

PDF

Источники бесперебойного электропитания

PDF

Дизельные и газовые энергостанции

PDF

Системы водоподготовки

PDF

Технологические химикаты

Показать

Технологическое оборудование

PDF

Техматериалы

PDF

Рост-резка

PDF

Мехобработка

PDF

Химобработка 1

PDF

Химобработка 2

PDF

Эпитаксия

PDF

Литография

PDF

Плазмохимия 1

PDF

Плазмохимия 2

PDF

Физпроцессы 1

PDF

Физпроцессы 2

PDF

Имплантация

PDF

Термопроцессы

PDF

Измерения

PDF

Испытания

Показать
  • Carbolite Gero GmbH
  • CRYSTAL Systems
  • FCT SYSTEME
  • Nabertherm
  • SALE
  • АО «Монокристалл»
  • Гиредмет
  • Главная
  • ЗАВОД «КРИСТАЛЛ»
  • Имплантация
    • Applied Materials
    • Axcelis Technologies
    • Ion Beam Services
    • Sumitomo
    • ULVAC Technologies
  • Лицензии
  • О компании
    • Ассоциации
    • История
    • Современность
    • Специализация
    • Руководство
    • Вакансии
  • Оборудование
    • Измерения
      • ACCRETECH /TOKYO SEIMITSU CO/
        • ACCRETECH FP2000
        • ACCRETECH SURFCOM 5000DX
        • ACCRETECH SURFCOM C5
        • ACCRETECH SURFCOM CREST DX/SD
        • ACCRETECH SURFCOM NEX DX/SD/FX
        • ACCRETECH UF190R, ACCRETECH UF200R
        • ACCRETECH UF2000
        • ACCRETECH UF3000EX-e
      • Bruker Corporation
        • Bruker ContourSP
        • Bruker D8 FABLINE
        • Bruker Dektak XTL
        • Bruker Nanostar
      • Carl Zeiss AG
        • Zeiss AIMS™ 1x-193i
        • Zeiss AIMS™ 32x-193i
        • Zeiss Auriga CrossBeam
        • Zeiss CDC32
        • Zeiss MeRiT® HR II
        • Zeiss MERLIN
        • Zeiss PROVE® Compact
        • Zeiss RegC®
        • Zeiss WLCD
        • Zeiss Xradia 810 Ultra
      • FEI
        • FEI HELIOS NANOLAB 660
        • FEI INSPECT SEM
        • FEI NOVANANO SEM
        • FEI PRISMA E SEM
        • FEI PRISMA EX SEM
        • FEI APREO AQUILOS CRYO-FIB DUALBEAM
        • FEI APREO SEM
        • HELIOS G4 UX
      • Hitachi High Technologies
        • Hitachi CD-SEM CG5000/4000 Series
        • Hitachi HF-3300
        • Hitachi LS-series
        • Hitachi RS-series (RS6000)
        • Hitachi SU9000
      • JEOL
        • Jeol JAMP-9500F
        • Jeol JBX-Series
        • Jeol JEM-2200FS
        • Jeol JIB-4600FMultibeam
        • Jeol JSM-7800F
        • Jeol JXA-8530F
      • Rigaku
        • Rigaku AZX400
        • Rigaku MFM310
        • Rigaku SmartLab
        • Rigaku SVEE300
        • Rigaku TXRF 3760
        • Rigaku TXRF 3800e
        • Rigaku TXRF V310, Rigaku TXRF 310e, Rigaku TXRF 310
        • Rigaku TXRF-V450
        • Rigaku WaferX 310
        • Rigaku WDA-3650
    • Испытания
      • ACUTRONIC
        • AC105-AVAB
        • AC1120S
        • AC1125
        • AC1180-AB
        • AC1190-140
        • AC150-AVAB
        • AC216, AC217
        • AC2246, AC2247, AC2267
        • AC2255-RS
        • AC2277
        • AC2295-VA
        • AC3337
        • AC3347-140
        • AC3347-210
        • AC3347-TC
        • AC3350-08, AC3350-140
        • AC3350-140
        • AC3351, AC3351-140
        • AC3357, AC3360, AC3351, AC3351-140
        • AC3360
        • AC3367, AC3367-70, AC3367-TC
        • AC3380, AC3380-TC
        • AC8800
        • GA3397
        • HD33H-T45.60, HD33H-S50.77, HD33H-S55.77
        • HD55H-S35.70, HD55H-T35-50, HD55H-S50.100, HD55H-T65.60, AC55H-S20.40
        • simex®ONE
        • АС8827
        • Центрифуги
      • CVMS Climatic
        • CVMS Climatic камеры дождя — испытательные камеры
        • CVMS Climatic камеры испытательные тепла-холода-влажности объемом от 100 до 1000 л.
        • CVMS Climatic камеры озона — испытательные камеры
        • CVMS Climatic настольные климатические камеры
        • Камеры песка и пыли CVMS Climatic
        • Камеры пониженного давления CVMS Climatic
        • Камеры солнечного излучения CVMS Climatic
        • Камеры соляного тумана CVMS Climatic
        • Климатические камеры термоудара CVMS Climatic
      • Sentek Dynamics
        • Вибростенды E серии (экстрасильные 200 — 400 кН) с водяным охлаждением
        • Вибростенды H серии (высокосильные 65 — 160 кН) с водяным охлаждением
        • Вибростенды L серии (малосильные 1 — 10 кН) с воздушным охлаждением
        • Вибростенды M серии (средне сильные 15- 65 кН) с воздушным охлаждением
        • Вибростенды длинного хода T серии (30 — 54 кН) с воздушным охлаждением
        • Высокопроизводительные вибростенды P серии с водяным охлаждением
        • Модальные вибростенды MS серии
        • Настольные вибростенды VT серии
        • Трехосевые вибростенды MA серии с воздушным охлаждением
      • Thermotron Industries
        • Автоматизированные камеры для коррозионных испытаний ACT
        • Камеры для испытаний на воздействие песка и пыли
        • Камеры дождя
        • Камеры имитации солнечного излучения
        • Климатические камеры серии SE
        • Климатические камеры экономичных серий S и SM
        • Комбинированные климатические камеры серии AGREE
        • Настольные климатические камеры серии S/SM
        • Панельные и сварные климатические камеры серии WP
        • Система тестирования сопротивления защитной изоляции PTS
        • Системы непрерывного мониторинга PTS
        • Термошоковые климатические камеры серии ATSS
        • Электродинамические стенды Thermotron
      • TIRA GmbH
        • Вибрационные стенды TIRA с выталкивающим усилием от 1 кН до 2,7 кН
        • Вибрационные стенды TIRA с выталкивающим усилием от 20 кН до 55 кН
        • Вибрационные стенды TIRA с выталкивающим усилием от 4 кН до 15 кН
        • Вибрационные стенды TIRA с выталкивающим усилием от 60 кН до 300 кН
        • Вибрационные стенды TIRA с выталкивающим усилием т 9 Н до 400 Н
    • Литография
      • 4PICO Litho B.V.
      • CRESTEC
      • ELS System Technology Co., Ltd.
        • ELS 106FA
        • ELS 106FA-B
        • ELS 106SA
        • ELS 108FA
        • ELS 108SA
        • ELS 112SA
        • ELS 3604FA, ELS 3606FA
        • ELS 3608FA
        • ELS 3612FA
        • ELS 407FA
        • ELS 450FA
        • ELS 504FA, ELS 506FA, ELS 508FA
        • ELS 504FA, ELS 506FA, ELS 508FA
        • ELS 512FA
        • ELS 604FA
        • ELS 606FA
        • ELS 706SA
        • ELS 708SA
        • ELS 712SA
        • ELS 7604FA, ELS 7606FA
        • ELS 7608FA
        • ELS 7612FA
        • ELS 807FA
        • ELS 904FA, ELS 906FA
        • ELS 908FA
      • Heidelberg Instruments Mikrotechnik
        • Heidelberg DWL 2000
        • Heidelberg DWL 4000
        • Heidelberg DWL 66+
        • Heidelberg DWL 66FS
        • Heidelberg DWL 66XL+
        • Heidelberg DWL 8000
        • Heidelberg ULTRA 200
        • Heidelberg VPG 1600
        • Heidelberg VPG+ 200
        • Heidelberg VPG+ 400
        • Heidelberg VPG+ 800; Heidelberg VPG+ 1100; Heidelberg VPG+ 1400
        • Heidelberg µPG 101
      • JEOL-LITO
      • KLOE
        • KLOE Dilase 250 LDI
        • KLOE Dilase 650 LDI
        • KLOE Dilase 750 LDI
        • KLOE UV-KUB 1
        • KLOE UV-KUB 2
        • KLOE UV-KUB 3
        • KLOE UV-KUB 6
        • KLOE UV-KUB 9
      • RAITH
      • SMEE
      • Ultratech Stepper Inc.
        • Ultratech AP200, Ultratech AP300
        • Ultratech LSA101
        • Ultratech LSA201
        • Ultratech NanoTech 160
        • Ultratech NanoTech 190
        • Ultratech Prisma-ghi
        • Ultratech Star 100
        • Ultratech UltraMet 100
      • VISTEC Electron Beam GmbH
      • ОАО «КБТЭМ-ОМО»
        • ЭМ-5009М
        • ЭМ-5026АМ
        • ЭМ-5026Б
        • ЭМ-5026М1
        • ЭМ-5062М
        • ЭМ-5084Б
        • ЭМ-5096
        • ЭМ-5109
        • ЭМ-5186
        • ЭМ-5189-02
        • ЭМ-5289Б
        • ЭМ-5434М
        • ЭМ-5634
    • Плазмохимия
      • Advanced Vacuum System
        • Apex SLR
        • VISION 310 PECVD
        • VISION 320 RIE
        • VISION 322 PE/RIE
        • VISION 410 PECVD
        • VISION 420 RIE
      • APPLIED Materials
        • Applied Materials AMAT Centris AdvantEdge Mesa Etch (FE-ICP)
        • Applied Materials AMAT Centura (5200 / Ultima Plus) HDP CVD 200mm
        • Applied Materials AMAT Centura (AP) Ultima X HDP-CVD
        • Applied Materials AMAT Centura 5200 (II) Etch 200mm (ICP/RIE/DCP/MW)
        • Applied Materials AMAT Centura AdvantEdge Mesa / G5 Etch (FE-ICP)
        • Applied Materials AMAT Producer (Producer S) PECVD 200mm
        • Applied Materials AMAT Producer Etch eXT (ICP)
        • Applied Materials AMAT Producer GT (Avila TSV) PECVD
        • Applied Materials AMAT Producer SE (APF) PECVD 300mm
      • CORIAL
        • Corial 200FA
        • Corial 200FA
        • Corial 200I
        • Corial 200ML
        • Corial 200R
        • Corial 200S
        • Corial 210D
        • Corial 210IL
        • Corial 210RL
        • Corial 300IL
        • Corial 300S
        • Corial 360IL
        • Corial 360RL
        • Corial 400L
        • Corial D250
        • Corial D250L
        • Corial D350
        • Corial D350L
        • Corial D500 PECVD
        • Corial PS200
      • Diener electronic GmbH+Co.KG
        • Diener electronic Atto
        • Diener electronic Femto
        • Diener electronic Pico
        • Diener electronic Tetra 100 PC/PCCE
        • Diener electronic Tetra 15 LF-PC
        • Diener electronic Tetra 150 PC/PCCE
        • Diener electronic Tetra 30 PC/PCCE
        • Diener electronic Yocto III
        • Diener electronic Zepto
        • Nano
      • Evatec AG
        • Evatec (Oerlikon Systems) CLUSTERLINE 200 II (PVD/PECVD)
        • Evatec (Oerlikon Systems) CLUSTERLINE 300 II (PVD/PE)
        • Evatec (Oerlikon Systems) CLUSTERLINE RAD
        • Evatec HEXAGON (PVD/ICP)
      • FHR Anlagenbau
        • FHR ALD 100
        • FHR ALD 150
        • FHR ALD 300
        • FHR ALD 300
        • FHR FLA 100
        • FHR FLA 100-DL
        • FHR FLA 200-A
        • FHR MS120-FLA
        • FHR-Star300BOX
        • FHR.Boxx.400-PVD
        • FHR.Flash.50-Module
        • FHR.Micro.100-RIE
        • FHR.Micro.150-DuoPVD
        • FHR.Micro.150-MonoEVA
        • FHR.Micro.150-PECVD
        • FHR.Micro.160-FLA
        • FHR.Micro.160-IBE-RIE
        • FHR.Micro.200-ALD
        • FHR.Micro.200-PVD
        • FHR.Micro.300-Clean
        • FHR.Star.300 (PVD)
      • GNtech
      • LAM Research
        • Lam Research LAM 2300 Exelan FLEX / FLEX 45 (RIE/TCP)
        • Lam Research LAM 2300 Syndion TSV (RIE/TCP)
        • Lam Research LAM 2300 Versys Kiyo (RIE/TCP/MW)
        • Lam Research LAM 2300 Versys Kiyo 45 (RIE/TCP/MW)
        • Lam Research LAM 2300 Versys Metal (RIE/TCP/MW)
        • Lam Research LAM 2300 Versys Poly / Star T (RIE/TCP/MW)
        • Lam Research LAM Alliance A4 TCP 9400 DFM (ICP/CCP/MW)
        • Lam Research LAM Alliance A6 9400 PTX (RIE/TCP)
        • Lam Research LAM Alliance A6 9600 DFM (RIE/TCP/MW)
        • Lam Research LAM Alliance A6 9600 PTX (RIE/TCP/MW)
        • Lam Research LAM Alliance A6 Exelan HPT (RIE/TCP)
        • Lam Research LAM Alliance A6 TCP 9400 DFM (RIE/TCP)
        • Lam Research LAM TCP 9400 SE(RIE/TCP)
        • Lam Research LAM VECTOR Express / Extreme (PECVD)
      • MTI Corporation
        • EQ-PCE-3
        • EQ-PCE-6
        • EQ-PCE-8
        • GSL-1100X-PECVD
        • GSL-1100X-SPC12-LD
        • GSL-1700X-SPC-2
        • GSL-1800X-SBC2-LD
        • OTF-1200X-50S-PE-SL
        • OTF-1200X-II-50-PEMSL
        • OTF-1200X-II-PEC4
        • OTF-1200X-PEC4LV
        • PCE-22
        • PCE-44-LD
        • VTC-16-3HD-LD
        • VTC-16-D
        • VTC-16-SM
        • VTC-2RF
        • VTC-3RF
        • VTC-600-2HD-LD
        • VTC-600-3HD-LD
      • Nordson MARCH
        • Nordson MARCH MesoSPHERE
        • Nordson MARCH RIE-1701 (RIE)
        • Nordson MARCH StratoSPHERE
      • Oxford Instruments
        • Nanofab 700 (800 Agile)
        • PlasmaPro 100
        • PlasmaPro 100 Cobra
        • PlasmaPro 100 Estrelas
        • PlasmaPro 100 ICPCVD
        • PlasmaPro 100 PECVD
        • PlasmaPro 100 Polaris
        • PlasmaPro 100 RIE
        • PlasmaPro 1000 Astrea
        • PlasmaPro 1000 Stratum
        • PlasmaPro 80 Cobra65 ICP
        • PlasmaPro 80 ICPCVD
        • PlasmaPro 80 PECVD
        • PlasmaPro 80 RIE
        • PlasmaPro 800 plus
        • PlasmaPro NGP 80
      • Plasma Etch
        • PE-100
        • PE-200
        • PE-25
        • PE-25 Venus
        • PE-50
        • PE-50 Venus
        • PE-50 XL
        • PE-50 XL Venus
        • PE-75
        • PE-75 Venus
        • Plasma Etch BT-1(PE/RIE)
        • Plasma Etch Magna(PE)
      • PLASMA-THERM
        • LAPECVD
        • Mask Etcher Series
        • Navigator™ 6
        • Navigator™ 8
        • Odyssey HDRF
        • ORBIS™ 1000
        • ORBIS™ 3000
        • ORBIS™ Alpha™
        • Pinnacle
        • Singulator MDS-100, MDS-300
        • VERSALINE DSE
        • VERSALINE HDPCVD
        • VERSALINE ICP/RIE
        • VERSALINE PECVD
        • VERSALINE RIE
        • Versalock
      • SAMCO
        • SAMCO PC-1100(RIE/PE)
        • SAMCO PC-300(RIE/PE)
        • SAMCO PC-5000(PE)
        • SAMCO PD-100ST (PECVD)
        • SAMCO PD-2203L (PECVD)
        • SAMCO PD-220LC (PECVD)
        • SAMCO PD-220N, NA (PECVD)
        • SAMCO PD-220NL (PECVD)
        • SAMCO PD-270STL(PECVD)
        • SAMCO PD-270STP (PECVD)
        • SAMCO PD-330STLC(PECVD)
        • SAMCO PD-3800 (PECVD)
        • SAMCO PD-3800L (PECVD)
        • SAMCO PD-4800 (PECVD)
        • SAMCO PD-5400 (PECVD)
        • SAMCO RIE-100iPC (ICP)
        • SAMCO RIE-101iPH (ICP)
        • SAMCO RIE-10iP (ICP)
        • SAMCO RIE-10NR
        • SAMCO RIE-1C
        • SAMCO RIE-200C
        • SAMCO RIE-200iP (ICP)
        • SAMCO RIE-200LC
        • SAMCO RIE-200NL
        • SAMCO RIE-212IP (ICP)
        • SAMCO RIE-230iPC (ICP)
        • SAMCO RIE-300NR
        • SAMCO RIE-330iPC (ICP)
        • SAMCO RIE-400iP (ICP)
        • SAMCO RIE-400iPB (ICP)
        • SAMCO RIE-600iP (ICP)
        • SAMCO RIE-600iPC (ICP)
        • SAMCO RIE-800iPB (ICP)
        • SAMCO RIE-800iPBC(ICP)
      • SENTECH Instruments
        • SENTECH 500 C (ICP/RIE)
        • SENTECH Depolab 200 (PECVD)
        • SENTECH Etchlab 200 (RIE)
        • SENTECH SI 500 D (ICPECVD)
        • SENTECH SI 500 PPD (ICPECVD)
        • SENTECH SI 500-300(ICP)
        • SENTECH SI 500(ICP/RIE)
        • SENTECH SI 591 compact (RIE)
      • sidmel
      • Tokyo Electron
        • TEL Tactras (CCP-RIE/ICP )
        • TEL Telius SP (RIE/CCP)
        • TEL Triase+ SPAi (HD LPCVD)
        • TEL UNITY II (RIE)
        • TEL UNITY Me (RIE)
      • Trion Technology
        • Trion Technology Apollo (ICP/MW/RIE)
        • Trion Technology Gemini (ICP/MW/SST)
        • Trion Technology Minilock-Orion III (PECVD)
        • Trion Technology Minilock-Phantom III (RIE/RIE+ICP)
        • Trion Technology Oracle III (RIE/RIE+ICP/PECVD)
        • Trion Technology Orion III (PECVD)
        • Trion Technology Phantom III (RIE/RIE+ICP)
        • Trion Technology Sirus T2 Table Top (RIE)
        • Trion Technology Titan (RIE/RIE+HDICP/PECVD)
      • Trymax Semiconductor
        • NEO 200 Series
        • NEO 2000 Series
        • NEO 200A Series
        • NEO 2400 Series
        • NEO 300 Series
        • NEO 3000 Series
        • NEO 300A Series
        • NEO 3400 Series
      • ULVAC Technologies
        • ULVAC Enviro Optima (RIE)
        • ULVAC Enviro-1Xa (RIE)
        • ULVAC Enviro-1Xa 2C (RIE)
        • ULVAC NE-550EXa (ICP/RIE)
        • ULVAC NLD-570 (ICP/NLD)
      • Yield Engineering Systems
        • YES-CV200RFS (Downstream)
        • YES-ÉcoClean (ICP)
        • YES-G1000 (Electron Free/Downstream/RIE)
        • YES-G500 (Electron Free/Downstream/RIE)
      • АО «НИИТМ»
      • ООО НПК «ТехМашСервис»
      • СтратНаноТек Инвест
    • Рост слитков
      • ACCRETECH /TOKYO SEIMITSU CO/
        • ACCRETECH A-CS-100A
        • ACCRETECH AD2000T/S, ACCRETECH AD3000T/S
        • ACCRETECH AD20T/S
        • ACCRETECH ChaMP 232
        • ACCRETECH ChaMP 332
        • ACCRETECH HRG200X
        • ACCRETECH HRG300
        • ACCRETECH ML200Plus FH
        • ACCRETECH ML300 Plus WH
        • ACCRETECH SS10, ACCRETECH SS20, ACCRETECH SS30
        • ACCRETECH W-GM-4200
      • Carbolite Gero GmbH
        • BV-HTRV 100-250
        • BV-HTRV 70-250
        • Carbolite Gero HBO
        • Carbolite Gero HTF
        • Carbolite Gero HTK
        • KZA-ST 400-400
        • KZA-V 25-500
      • Centorr Vacuum Industries,Inc
        • Centorr 11 SERIES
        • Centorr 3520 IES
        • Centorr 3560 SERIES
        • Centorr 3600 SERIES
        • Centorr 3800 SERIES
      • Engis Corporation
        • ENGIS AMX Fine Grinder, ENGIS AMX Lapper, ENGIS AMX Polisher
        • ENGIS Double Sided Grinding Machines
        • ENGIS EHG180, ENGIS EHG250
        • ENGIS Hyprez Composite Lapping Plates
        • ENGIS Hyprez Diamond and Non-Diamond Lapping Slurries
        • ENGIS Hyprez Diamond Compounds and Diamond Paste
        • ENGIS Hyprez Electrogrip Diamond Plated & Dia-ForZ Products
        • ENGIS Hyprez Family of Lapping Lubricants
        • ENGIS Hyprez Micron and CBN Diamond Powders
        • ENGIS Hyprez MiniMiser & Autostirrer
        • ENGIS Hyprez Planarization & Polishing Pads
      • FERROTEC
        • FERROTEC FT-CZ2008A
        • FERROTEC FT-CZ2208AE
        • FERROTEC HXH 450 N
        • FERROTEC HXH 600 N
        • FERROTEC HXH 800 N
        • FERROTEC S1000
        • FT-CZ2208A
      • Lapmaster Wolters GmbH
        • LAPMASTER WOLTERS 3R-600, LAPMASTER WOLTERS 4R-1200 (single wheel machine)
        • LAPMASTER WOLTERS AC 1500-P3, LAPMASTER WOLTERS AC 2000-P2
        • LAPMASTER WOLTERS AC microLine 1000
        • LAPMASTER WOLTERS AC microLine 1200
        • LAPMASTER WOLTERS AC microLine 1500
        • LAPMASTER WOLTERS AC microLine 2000
        • LAPMASTER WOLTERS AC microLine 400
        • LAPMASTER WOLTERS AC microLine 535
        • LAPMASTER WOLTERS AC microLine 700
        • LAPMASTER WOLTERS BD 300-L
        • LAPMASTER WOLTERS DDG 450, LAPMASTER WOLTERS DDG 450 Closeup
        • LAPMASTER WOLTERS DDG 600, LAPMASTER WOLTERS DDG 600 Closeup
        • LAPMASTER WOLTERS MACRO
        • LAPMASTER WOLTERS MACRO-I
        • LAPMASTER WOLTERS MACRO-L
        • LAPMASTER WOLTERS MACRO-S, LAPMASTER WOLTERS MACRO-SI
        • LAPMASTER WOLTERS MACRO-SK
      • Linton Crystal Technologies
        • KX 170 MCZ
        • KX 170 PV
        • KX 240 MACH
        • KX 240 MCZR
        • KX 240 PV
        • KX 320 MACH
        • KX 320 MCZR
        • KX 320/300 MCZ
        • KX 360 MACH
        • KX 360 MCZR
        • KX 360 PV
      • Meyer Burger Wafertec
        • MEYER BURGER BRICKMASTER
        • MEYER BURGER BRICKMASTER
        • MEYER BURGER BS 801 (805)
        • MEYER BURGER BS 806
        • MEYER BURGER BS 830
        • MEYER BURGER DS 264
        • MEYER BURGER DS 271
        • MEYER BURGER TS 207 SERIES 10
        • MEYER BURGER TS 207 SERIES 13
        • MEYER BURGER TS 207 SERIES 8
      • PVA TEPLA
        • PVA TEPLA CGS-Lab
        • PVA TEPLA EKZ 2700
        • PVA TEPLA EKZ 3000
        • PVA TEPLA EKZ 3500
        • PVA TEPLA FZ 14
        • PVA TEPLA FZ 14 M
        • PVA TEPLA FZ 30
        • PVA TEPLA Kronos
        • PVA TEPLA Multi Crystallizer VGF 732 Si HC
        • PVA TEPLA SC 22
        • PVA TEPLA SiGe-Epitaxie Typ Delta
        • PVA TEPLA SR 110
      • ООО «НПО «ГКМП»
        • ГКМП_Печь для приготовления керамики типа «Поликор»
        • Установка выращивания антимонида индия
        • Установка выращивания монокристаллов арсенида индия и арсенида галлия
        • Установка выращивания монокристаллов кремния
        • Установка выращивания монокристаллов лейкосапфира
    • Термопроцессы
      • AnnealSys
        • AnnealSys AS-Master
        • AnnealSys AS-Micro
        • AnnealSys AS-One
        • AnnealSys LC100
        • AnnealSys MC050
        • AnnealSys MC100
        • AnnealSys SprayCVD-050
      • ATV Technologie
        • ATV PEO-601
        • ATV PEO-603/4
        • ATV PEO-604
      • Centrotherm thermal solutions
        • Centrotherm Activator 150-5 (50)
        • Centrotherm CAV 150, Centrotherm CAV 200.
        • Centrotherm CLV 200
        • Centrotherm CMV 200, Centrotherm 300.
        • Centrotherm E 1200
        • Centrotherm E 1550
        • Centrotherm E 2000
        • Centrotherm Epicoo 200
        • Centrotherm Oxidator 150-5,Centrotherm Oxidator 150-50
        • Centrotherm RTP 150
        • Centrotherm Single Tube
      • FHR Anlagenbau
        • FHR FLA 200-A
        • FHR FLA-100
        • FHR FLA-100-DL
        • FHR MS 120- FLA
      • gkmp32
      • JIPELEC
      • Kokusai Electric
        • Kokusai Electric Advanced Ace-300
        • Kokusai Electric DD-803V
        • Kokusai Electric Lambda 300/300N
        • Kokusai Electric Lambda Strip 3000 / 3000 II
        • Kokusai Electric MARORA
        • Kokusai Electric MG 8500R/8500ZS 200mm
        • Kokusai Electric QUIXACE (QUIXACE-L/L) DD-1206V-DF 300 mm
        • Kokusai Electric QUIXACE DJ-1206VN-DF (Aldinna)
        • Kokusai Electric QUIXACE II ALD High-k 300 mm (ALD)
        • Kokusai Electric Quixace II DD-1206V-DF NITRIDE 300 mm
        • Kokusai Electric Quixace II DJ-1206VN-DF Doped Poly 300 mm
        • Kokusai Electric TANDUO
        • Kokusai Electric TSURUGI-C²
        • Kokusai Electric Vertron III
        • Kokusai Electric Vertron III DJ-803V
        • Kokusai Electric VERTRON Revolution 200 mm
        • Kokusai ElectricVertron DJ-803V
        • Kokusai ElectricVertron III DD-803V
      • SEMCO
        • SEMCO LYDOP DIFF
        • SEMCO LYDOX OXID
        • SEMCO MiniLab
        • SEMCO Roll-to-roll Platform
        • SEMCO Tunnel Furnace
      • SVCS Process Innovation
        • SVCS SVaFUR-FP LPCVD
        • SVCS SVaFUR-RD LPCVD
        • SVCS SVpFUR-FP PECVD
        • SVCS SVpFUR-RD PECVD
        • SVCS SVсFUR-FP LPCVD
        • SVCS SVсFUR-RD LPCVD
      • TEL
        • TEL ALPHA-8S
        • TEL ALPHA(α)-303i
        • TEL TELFORMULA™
        • TEL TELINDY PLUS™
        • TEL TELINDY PLUS™ IRad™
      • TEMPRESS
        • TEMPRESS QUANTUM HD-POCl3 DIF
        • TEMPRESS QUANTUM POCl3, BBr3 DIF
        • TEMPRESS SBVF
        • TEMPRESS TS-Series POCl3 DIF
        • TEMPRESS TS-Series R&D DIF/PECVD
        • TEMPRESS TS6 & TS8 series PFS
        • TEMPRESS TS6 & TS8 series SBFS
      • Thermco Systems
        • Thermco ANNEAL
        • Thermco RefurbishedTEL LPCVD
        • Thermco VTR 6000 / 7000 LPCVD
        • Thermco x10 benchtop series (R&D)
        • Thermco x60 compact series (R&D)
        • Thermco серия 2000 LPCV
        • Thermco серия 5000 LPCVD
        • Thermco серия 8000 LPCVD
      • TORR INTERNATIONAL SERVICES LLC
      • Tystar
        • Invacu VSU12-V5
        • Invacu VSU20
        • Invacu VSU28
        • Tystar LPCVD
        • Tystar TYTAN Mini Series
        • Tystar TYTAN Nano Series
        • Tystar TYTAN Standard Series
        • Tystar TYTAN Tabletop Series
      • АО «НИИТМ»
      • АО «НТО» (SemiTEq®)
      • ООО НПК «ТехМашСервис»
    • Физические процессы
      • Applied Materials
        • Applied Materials AMAT Endura 5500 PVD 150/200mm
        • Applied Materials AMAT Endura CIRRUS HT Co PVD 300mm
        • Applied Materials AMAT Endura Clover MRAM PVD 300mm
        • Applied Materials AMAT Endura II PVD 300mm
        • Applied Materials AMAT Endura IMPULSE PCRAM PVD 300mm
        • Applied Materials AMAT Endura UHV PVD 300mm
      • ASM International
        • ASM Eagle XP platform
        • ASM Eagle XP8 PEALD
        • ASM Emer ALD XP ALD
        • ASM Pulsar​​​ XP ALD
        • ASM XP8 QCM PEALD/PECVD
      • EVATEC
      • FHR Anlagenbau
        • FHR ALD 100 (ALD)
        • FHR ALD 150 (PEALD)
        • FHR ALD 300 (ALD)
        • FHR ALD 300 НИОКР (ALD)
        • FHR-Star300BOX (PVD)
        • FHR.Boxx.400-PVD (PVD)
        • FHR.Micro.150-DuoPVD (PVD)
        • FHR.Micro.150-MonoEVA (PVD)
        • FHR.Micro.160-IBE-RIE (IBE)
        • FHR.Micro.200-ALD
        • FHR.Micro.200-PVD (PVD)
        • FHR.Star.100-TetraCo (PVD)
        • FHR.Star.150-Co (PVD)
        • FHR.Star.220 (PVD)
        • FHR.Star.300 (PVD/ALD)
      • IZOVAC
      • Kokusai Electric
        • Kokusai Electric QUIXACE DJ-1206VN-DF (Aldinna) / Quixace II ALD High-k 300 mm (ALD)
      • KOREA VAC-TEC CO. LTD
        • KOREA VAC-TEC ERIDAN (PVD)
        • KOREA VAC-TEC In-Line Low Temperature Sputter System (PVD)
        • KOREA VAC-TEC In-Line TCO Sputter System (PVD)
        • KOREA VAC-TEC ORION-140T (PVD)
        • KOREA VAC-TEC ORION-400 (PVD)
        • KOREA VAC-TEC ORION-40T (PVD)
        • KOREA VAC-TEC ORION-90T (PVD)
        • KOREA VAC-TEC ORION-BE (PVD)
        • KOREA VAC-TEC VTC 1000 TO (PVD)
        • KOREA VAC-TEC VTC 1100 PO (PVD)
        • KOREA VAC-TEC VTC-1200-СP (PVD)
        • KOREA VAC-TEC VTC-1350DP (PVD)
        • KOREA VAC-TEC VTC-IBE-200-RF (IBE)
      • Lam Research
        • Lam Research LAM (Novellus) ALTUS DirectFill (ALD/CVD)
        • Lam Research LAM (Novellus) Flex (ALE/RIE)
        • Lam Research LAM (Novellus) INOVA 200 мм(PVD)
        • Lam Research LAM (Novellus) INOVA Next  (PVD 300мм)
        • Lam Research LAM Striker (ALD)
      • MTI Corporation
        • MTI GSL-1100X-SPC12-LD (PVD)
        • MTI GSL-1700X-SPC-2 (PVD)
        • MTI GSL-1800X-SBC2-L
        • MTI VTC-16-3HD-LD (PVD)
        • MTI VTC-16-D(PVD)
        • MTI VTC-16-SM (PVD)
        • MTI VTC-2RF (PVD)
        • MTI VTC-3RF (PVD)
        • MTI VTC-600-2HD-LD (PVD)
        • MTI VTC-600-3HD-LD (PVD)
      • Oxford Instruments
        • Oxford Instruments ATOMFAB (ALD/RP-ALD)
        • Oxford Instruments FlexAL (ALD/RP-ALD)
        • Oxford Instruments Ionfab300Plus (IBE/IBD)
        • Oxford Instruments OpAL (ALD/RP-ALD)
        • Oxford Instruments Optofab 3000 (IBSD)
        • Oxford Instruments Plasmalab System 400 (PVD)
        • Oxford Instruments PlasmaPro 100 ALE
      • Plasma-Therm
        • Plasma-Therm QuaZar (Pinnacle) Systems (IBE/IBD)
        • Plasma-Therm QuaZar Planetary IBE (IBE)
      • SAMCO
        • SAMCO AD-230LP (PEALD)
        • SAMCO AL-1 (ALD)
      • SENTECH Instruments
        • SENTECH Si ALD /  Si ALD LL (ALD/PEALD)
        • SENTECH SILAYO (PEALD)
      • Tokyo Electron
        • Tokyo Electron TEL ALPHA-8SE™(ALD/LPCVD/Ox)
        • Tokyo Electron TEL NT333 (ALD/PEALD)
        • Tokyo Electron TEL TELFORMULA (LPCVD/ALD/Ox)
        • Tokyo Electron TEL TELINDY PLUS (ALD/LPCVD/Ox) / TELINDY PLUS Irad (PEALDOx)
      • TORR
      • Trion Technology
        • Trion Technology Minilock ALD (ALD/PEALD)
        • Trion Technology Minilock PVD (PVD)
        • Trion Technology Titan Deposition (ALD/ PVD/HDCVD/PECVD)
      • ULVAC Technologies
        • ULVAC CS-200 (PVD)
        • ULVAC CS-L 150мм / 200мм (PVD)
        • ULVAC Ei-5 (EB/RH)
        • ULVAC ENTRON-EX W-200S / W-200T6   200мм (PVD)
        • ULVAC ENTRON-EX W-300 300мм (PVD/ALD/CVD)
        • ULVAC ENTRON-EX2 W-300 300мм
        • ULVAC MLX-3000N cluster (PVD)
        • ULVAC SME-200 cluster (PVD)
        • ULVAC SME-200E cluster (PVD)
        • ULVAC SME-200J cluster (PVD)
        • ULVAC SRH-420/420МС cluster (PVD)
        • ULVAC SRH-530 cluster (PVD)
        • ULVAC SRH-820 cluster (PVD)
      • АО «Кварц»
      • АО «НИИТМ»
      • АО «НТО» (SemiTEq®)
      • ООО «ИОНТЕК-нано»
      • ООО «РУ-ВЭМ»
      • ООО «СтратНаноТек Инвест»
    • Химобработка
      • AP&S
        • Acetoncarussel
        • Chemical Distribution System
        • Chemical Waste System
        • CMS, Slurry System
        • GigaStep
        • LOTUS systems — Линия жидкостной химической обработки
        • LOTUS systems — Установка жидкостной химической обработки
        • LOTUS systems 1
        • LOTUS systems 2
        • MIXTURA Small
        • MultiStep
        • NID Dryer
        • PURUS DUPLEX
        • PURUS MAXIM
        • PURUS SIMPLEX
        • SIMPLEX & DUPLEX
        • TwinStep
        • VulCanio
        • Wet processor manual
        • Вытяжной шкаф
        • Очистка лодочек
        • Очистка труб
        • Установка для очистки сточных вод
        • Установка ЖХО
        • Установка очистки
        • Установка очистки
        • Установка РХО
        • Установка сушки пластин
      • EV Group
        • Автоматическая система очистки пластин EVG®320
        • Полуавтоматическая система очистки пластин EVG®301
      • INERT Technology
        • GLOVEBOX
        • PureSolv
        • Система очистки и управления
      • Ramgraber
        • Автоматическая система очистки поликристаллических кусков кремния CHUNK STAR
        • Автоматическая система электролиза PLATING STAR
        • Автоматическая система электрохимической металлизации PLATING STAR
        • Модель DEGLUE STAR
        • Модель TIGER
        • Оборудование для IPA сушки
        • Полуавтоматическая система EMMA
        • Ручная система электролиза PLATER
        • Ручная система электрохимической металлизации PLATER
        • Система жидкостной химической обработки с установкой ополаскивания и сушки
        • Система конвейерной очистки пластин кремния INLINE STAR
        • Система ополаскивания и сушки SRD
        • Система очистки кварцевых труб QUARTZ TUBE CLEANER
        • Система с ручным управлением
        • Установка для обработки отдельной пластины SPIN ETCH
        • Установка для спрей-обработки в кислоте RAMOS SAT
        • Установка для спрей-обработки в растворителе RAMOS SST
      • SCREEN Semiconductor Solutions Co.(DAINIPPON SCREEN)
        • DNS CW-1500
        • DNS FS-821L
        • DNS SK-2000 BVPEU
        • DNS SR-2000
        • DNS SS-80BW-AR
        • DNS SS-80EX
        • DNS SS-80EX
        • DNS SS-W60A-AR
        • DNS SU-2000
        • DNS WS-620C
        • DNS WS-820C
        • DNS WS-820L
      • Singulus Stangl Solar
        • SOLAR GERULUS PC/DG 3600 (7200)
        • SOLAR LNEA
        • SOLAR MATERIA PCE
        • SOLAR SILEX
      • STALIS
      • STROZA
        • STROZA — Установка для подготовки и распределения NH4OH + DIW
        • STROZA — Установка для травления полупроводниковых пластин
        • STROZA — Установка отмывки кремниевых пластин
        • STROZA — Установка отмывки кремниевых пластин в процессе травления
        • STROZA — Установка отмывки кремниевых пластин после полировки
        • STROZA — Установка смешения и распределения химиката TMAH
        • STROZA — Установка травления кремниевых пластин (нержавеющая сталь)
        • STROZA — Установка травления кремниевых труб
        • STROZA — Химический вытяжной шкаф для промывки деталей
        • STROZA — Химический шкаф для мойки канистр и тары
        • STROZA — Химический шкаф для травления и отмывки кремниевых пластин
        • STROZA — Химический шкаф для травления пластин
        • STROZA — Шкаф для струйного травления краев кремниевых пластин
        • STROZA — Шкаф подачи неорганических химикатов
        • STROZA — Шкаф подачи органических химикатов
        • STROZA — Шкаф распределения подачи химикатов
        • STROZA — Шкаф хранения перчаток для чистых помещений
        • STROZA – Химический шкаф для работы с кислотами
      • THERMCO SYSTEM
        • T-Clean
        • TERMCO SYSTEMS — Установка для травления кремниевых труб
        • TERMCO SYSTEMS — Установки формирования пористого кремния
        • TERMCO SYSTEMS — Установки химического осаждения металлов
        • TERMCO SYSTEMS — Шкафы закачки для хранения, смешения, подачи химикатов
        • TERMCO SYSTEMS – Химические шкафы для подачи химикатов
      • TSE-SYSTEME GmbH
        • Вытяжные химические шкафы
        • Камера травления
        • Очиститель кварцевых труб и кварцевых деталей (вертикальный/горизонтальный) VTC / HTC
        • Система распределения подачи химикатов CDS
        • Система сбора отработанных химикатов WCCS
        • Системы распределения химикатов POU-Box
        • Системы смешивания химикатов
        • Универсальная установка очистки и отмывки с фильтрацией воздуха и вытяжкой Digestorium
        • Установка отмывки пластин методом распыления WSC
        • Установки жидкостной химической обработки с автоматическим управлением AWB
        • Установки жидкостной химической обработки с полуавтоматическим управлением SWB
        • Установки жидкостной химической обработки с ручным управлением MWB
        • Установки отмывки кассет, боксов CBC 200 и Foup+Fosb FFC 300
      • АО «НИИТОП»
      • АО «НИИПМ»
      • ООО «АтомСтрой»
      • ООО «Корпорация спецтехнологического оборудования «ВИТРИ»
    • Эпитаксия
      • Advanced Micro-Fabrication Equipment Inc. China
      • AIXTRON
        • AIXTRON 200 MOCVD
        • AIXTRON 200 R2 MOCVD
        • AIXTRON 200 RF MOCVD
        • AIXTRON 200/4 MOCVD
        • AIXTRON 200/4 RF-S MOCVD
        • AIXTRON 2000 HT MOCVD
        • AIXTRON 2400 MOCVD
        • AIXTRON 2400/G2 MOCVD
        • AIXTRON 2400/G3 MOCVD
        • AIXTRON 2600 G3 MOCVD
        • AIXTRON 2800 G4 MOCVD
        • AIXTRON G5 MOCVD
        • AIXTRON R6 MOCVD
        • AIXTRON VP2400 HW MOCVD
      • ASM International
        • EPSILON 2000 PLUS CVD
        • EPSILON 3200 CVD
        • INTREPID ES CVD
        • INTREPID XP CVD
      • CDS Epitaxy
        • EpiPro 5000 CVD
        • GEMINI 3E CVD
      • LPE
        • LPE PE 106 CVD
        • LPE PE 2061S CVD
        • LPE PE 3061 CVD
      • NAURA
      • RIBER
        • Riber Compact 21 MBE
        • Riber Epineat MBE
        • Riber Epineat SiGe MBE
        • Riber EVA 32 MBE
        • Riber MBE 32
        • Riber MBE 49
        • Riber MBE 6000
        • Riber MBE 7000
        • Riber SIVA 45 MBE
      • SCIENTA OMICRON
        • SCIENTA OMICRON Charge & spin transport in graphene layers on 2 inch substrates
        • SCIENTA OMICRON EVO-25 MBE
        • SCIENTA OMICRON EVO-50 MBE
        • SCIENTA OMICRON Hybrid (PLD) Laser-MBE System
        • SCIENTA OMICRON III-N MBE system for 3 inch substrates with additional in situ VT SPM
        • SCIENTA OMICRON III-V MBE system for film growth on 4 inch wafers
        • SCIENTA OMICRON Lab10 MBE
        • SCIENTA OMICRON MBE & Catalysis
        • SCIENTA OMICRON PRO-100 MBE
        • SCIENTA OMICRON PRO-75 MBE
        • SCIENTA OMICRON UHV PLD and MULTIPROBE Compact
        • SCIENTA OMICRON UHV SPM / XPS / UPS / MBE
      • Shenzhen
      • TNSC
        • TNSC BMC MOCVD
        • TNSC BRC MOCVD
        • TNSC HR10000 MOCVD
        • TNSC HR3000 MOCVD
        • TNSC HR4000 MOCVD
        • TNSC HR6000 MOCVD
        • TNSC HR8000 MOCVD
        • TNSC MOCVD SR23К
        • TNSC MOCVD SR24К
        • TNSC SR2000 MOCVD
        • TNSC SR4000 MOCVD
        • TNSC SR6000 MOCVD
        • TNSC UR25K MOCVD
        • TNSC UR26K MOCVD
        • TNSC VR3000 MOCVD
      • VEECO
        • Veeco Discovery 180 (D180) LDM MOCVD
        • Veeco Discovery 180 (D180) MOCVD
        • Veeco E300 GaNzilla II MOCVD
        • Veeco E300 LDM MOCVD
        • Veeco E450 GaNzilla MOCVD
        • Veeco GEN II MBE
        • Veeco GEN III MBE
        • Veeco GEN10 MBE
        • Veeco GEN20 MBE
        • Veeco GEN200 Edge MBE
        • Veeco GEN2000 Edge MBE
        • Veeco GEN930 MBE
        • Veeco GENxplor MBE
        • Veeco Pioneer P125 MOCVD
        • Veeco Propel Power MOCVD
        • Veeco TurboDisc E450 LDM MOCVD
        • Veeco TurboDisc E450 MOCVD
        • Veeco TurboDisc E475 MOCVD
        • Veeco TurboDisc EPIK 700 MOCVD
        • Veeco TurboDisc K300 MOCVD
        • Veeco TurboDisc K465 MOCVD
        • Veeco TurboDisc K465i HP MOCVD
        • Veeco TurboDisc K465i MOCVD
        • Veeco TurboDisc K475 MOCVD
        • Veeco TurboDisc K475i MOCVD
        • Veeco TurboDisc MaxBright M MOCVD
        • Veeco TurboDisc MaxBright MHP MOCVD
      • АО «НИИТМ»
      • АО «НТО»(SemiTEq®)
      • ИФП им. А.В.Ржанова
      • ФТИ им. А.Ф. Иоффе
    • Мехобработка
      • Shenyang Heyan Technology Co., Ltd.
      • ОАО «Планар-СО»
      • ООО «Корпорация спецтехнологического оборудования «ВИТРИ»
  • Оборудование
  • Партнеры
  • Планаризация
  • Проектирование промышленных объектов
  • Проектирование чистых помещений
  • Реализованные объекты. Научные исследования
  • Реализованные объекты. Радиоэлектронное приборостроение
  • Реализованные объекты. Фотовольтаика, энергетика, материаловедение
  • Реализованные объекты. Электронная промышленность
  • Рост слитков
  • Термобарокамеры (камеры пониженного давления)
  • Термострессовые виброкамеры AST
  • Термошоковые климатические камеры серии ATS
  • Услуги
    • Инжиниринг
    • Технологический и инженерно-строительный аудит
    • Планирование
    • Проектирование
    • Реконструкция
    • Техперевооружение
    • Сервис
  • ФГУП ЭЗАН
  • Эпитаксия
  • Контакты
© СКТО Промпроект 2001-2025